Details

VHDL for Logic Synthesis


VHDL for Logic Synthesis


3. Aufl.

von: Andrew Rushton

71,99 €

Verlag: Wiley
Format: PDF
Veröffentl.: 08.03.2011
ISBN/EAN: 9780470977927
Sprache: englisch
Anzahl Seiten: 496

DRM-geschütztes eBook, Sie benötigen z.B. Adobe Digital Editions und eine Adobe ID zum Lesen.

Beschreibungen

<b>Making VHDL a simple and easy-to-use hardware description language</b> <p>Many engineers encountering VHDL (very high speed integrated circuits hardware description language) for the first time can feel overwhelmed by it. This book bridges the gap between the VHDL language and the hardware that results from logic synthesis with clear organisation, progressing from the basics of combinational logic, types, and operators; through special structures such as tristate buses, register banks and memories, to advanced themes such as developing your own packages, writing test benches and using the full range of synthesis types.</p> <p>This third edition has been substantially rewritten to include the new VHDL-2008 features that enable synthesis of fixed-point and floating-point hardware. Extensively updated throughout to reflect modern logic synthesis usage, it also contains a complete case study to demonstrate the updated features.</p> <p>Features to this edition include:</p> <ul> <li>a common VHDL subset which will work across a range of different synthesis systems, targeting a very wide range of technologies</li> <li>a design style that results in long design lifetimes, maximum design reuse and easy technology retargeting </li> <li>a new chapter on a large scale design example based on a digital filter from design objective and design process, to testing strategy and test benches</li> <li>a chapter on writing test benches, with everything needed to implement a test-based design strategy</li> <li>extensive coverage of data path design, including integer, fixed-point and floating-point arithmetic, logic circuits, shifters, tristate buses, RAMs, ROMs, state machines, and decoders</li> </ul> <p>Focused specifically on logic synthesis, this book is for professional hardware engineers using VHDL for logic synthesis, and digital systems designers new to VHDL but familiar with digital systems. It offers all the knowledge and tools needed to use VHDL for logic synthesis. Organised in themed chapters and with a comprehensive index, this complete reference will also benefit postgraduate students following courses on microelectronics or VLSI/ semiconductors and digital design.</p>
<p>Preface xi</p> <p>List of Figures xv</p> <p>List of Tables xvii</p> <p><b>1 Introduction 1</b></p> <p>1.1 The VHDL Design Cycle 1</p> <p>1.2 The Origins of VHDL 2</p> <p>1.3 The Standardisation Process 3</p> <p>1.4 Unification of VHDL Standards 4</p> <p>1.5 Portability 4</p> <p><b>2 Register-Transfer Level Design 7</b></p> <p>2.1 The RTL Design Stages 8</p> <p>2.2 Example Circuit 8</p> <p>2.3 Identify the Data Operations 10</p> <p>2.4 Determine the Data Precision 12</p> <p>2.5 Choose Resources to Provide 12</p> <p>2.6 Allocate Operations to Resources 13</p> <p>2.7 Design the Controller 14</p> <p>2.8 Design the Reset Mechanism 15</p> <p>2.9 VHDL Description of the RTL Design 15</p> <p>2.10 Synthesis Results 16</p> <p><b>3 Combinational Logic 19</b></p> <p>3.1 Design Units 19</p> <p>3.2 Entities and Architectures 20</p> <p>3.3 Simulation Model 22</p> <p>3.4 Synthesis Templates 25</p> <p>3.5 Signals and Ports 27</p> <p>3.6 Initial Values 29</p> <p>3.7 Simple Signal Assignments 30</p> <p>3.8 Conditional Signal Assignments 31</p> <p>3.9 Selected Signal Assignment 33</p> <p>3.10 Worked Example 34</p> <p><b>4 Basic Types 37</b></p> <p>4.1 Synthesisable Types 37</p> <p>4.2 Standard Types 37</p> <p>4.3 Standard Operators 38</p> <p>4.4 Type Bit 39</p> <p>4.5 Type Boolean 39</p> <p>4.6 Integer Types 41</p> <p>4.7 Enumeration Types 46</p> <p>4.8 Multi-Valued Logic Types 47</p> <p>4.9 Records 48</p> <p>4.10 Arrays 49</p> <p>4.11 Aggregates, Strings and Bit-Strings 53</p> <p>4.12 Attributes 56</p> <p>4.13 More on Selected Signal Assignments 60</p> <p><b>5 Operators 63</b></p> <p>5.1 The Standard Operators 63</p> <p>5.2 Operator Precedence 64</p> <p>5.3 Boolean Operators 70</p> <p>5.4 Comparison Operators 73</p> <p>5.5 Shifting Operators 76</p> <p>5.6 Arithmetic Operators 79</p> <p>5.7 Concatenation Operator 84</p> <p><b>6 Synthesis Types 85</b></p> <p>6.1 Synthesis Type System 85</p> <p>6.2 Making the Packages Visible 87</p> <p>6.3 Logic Types – Std_Logic_1164 90</p> <p>6.4 Numeric Types – Numeric_Std 95</p> <p>6.5 Fixed-Point Types – Fixed_Pkg 105</p> <p>6.6 Floating-Point Types – Float_Pkg 119</p> <p>6.7 Type Conversions 134</p> <p>6.8 Constant Values 144</p> <p>6.9 Mixing Types in Expressions 146</p> <p>6.10 Top-Level Interface 147</p> <p><b>7 Std_Logic_Arith 151</b></p> <p>7.1 The Std_Logic_Arith Package 151</p> <p>7.2 Contents of Std_Logic_Arith 152</p> <p>7.3 Type Conversions 161</p> <p>7.4 Constant Values 162</p> <p>7.5 Mixing Types in Expressions 164</p> <p><b>8 Sequential VHDL 167</b></p> <p>8.1 Processes 167</p> <p>8.2 Signal Assignments 170</p> <p>8.3 Variables 171</p> <p>8.4 If Statements 172</p> <p>8.5 Case Statements 177</p> <p>8.6 Latch Inference 178</p> <p>8.7 Loops 181</p> <p>8.8 Worked Example 187</p> <p><b>9 Registers 191</b></p> <p>9.1 Basic D-Type Register 191</p> <p>9.2 Simulation Model 192</p> <p>9.3 Synthesis Model 193</p> <p>9.4 Register Templates 195</p> <p>9.5 Register Types 199</p> <p>9.6 Clock Types 199</p> <p>9.7 Clock Gating 200</p> <p>9.8 Data Gating 201</p> <p>9.9 Asynchronous Reset 203</p> <p>9.10 Synchronous Reset 208</p> <p>9.11 Registered Variables 210</p> <p>9.12 Initial Values 211</p> <p><b>10 Hierarchy 213</b></p> <p>10.1 The Role of Components 213</p> <p>10.2 Indirect Binding 214</p> <p>10.3 Direct Binding 219</p> <p>10.4 Component Packages 220</p> <p>10.5 Parameterised Components 222</p> <p>10.6 Generate Statements 225</p> <p>10.7 Worked Examples 230</p> <p><b>11 Subprograms 243</b></p> <p>11.1 The Role of Subprograms 243</p> <p>11.2 Functions 243</p> <p>11.3 Operators 254</p> <p>11.4 Type Conversions 258</p> <p>11.5 Procedures 261</p> <p>11.6 Declaring Subprograms 267</p> <p>11.7 Worked Example 270</p> <p><b>12 Special Structures 279</b></p> <p>12.1 Tristates 279</p> <p>12.2 Finite State Machines 284</p> <p>12.3 RAMs and Register Banks 292</p> <p>12.4 Decoders and ROMs 297</p> <p><b>13 Test Benches 301</b></p> <p>13.1 Test Benches 301</p> <p>13.2 Combinational Test Bench 302</p> <p>13.3 Verifying Responses 305</p> <p>13.4 Clocks and Resets 307</p> <p>13.5 Other Standard Types 310</p> <p>13.6 Don’t Care Outputs 312</p> <p>13.7 Printing Response Values 314</p> <p>13.8 Using TextIO to Read Data Files 315</p> <p>13.9 Reading Standard Types 318</p> <p>13.10 TextIO Error Handling 319</p> <p>13.11 TextIO for Synthesis Types 321</p> <p>13.12 TextIO for User-Defined Types 322</p> <p>13.13 Worked Example 325</p> <p><b>14 Libraries 327</b></p> <p>14.1 The Library 327</p> <p>14.2 Library Names 328</p> <p>14.3 Library Work 329</p> <p>14.4 Standard Libraries 330</p> <p>14.5 Organising Your Files 333</p> <p>14.6 Incremental Compilation 335</p> <p><b>15 Case Study 337</b></p> <p>15.1 Specification 337</p> <p>15.2 System-Level Design 338</p> <p>15.3 RTL Design 340</p> <p>15.4 Trial Synthesis 352</p> <p>15.5 Testing the Design 353</p> <p>15.6 Floating-Point Version 361</p> <p>15.7 Final Synthesis 362</p> <p>15.8 Generic Version 364</p> <p>15.9 Conclusions 366</p> <p><b>Appendix A Package Listings 369</b></p> <p>A.1 Package Standard 369</p> <p>A.2 Package Standard_Additions 373</p> <p>A.3 Package Std_Logic_1164 380</p> <p>A.4 Package Std_Logic_1164_Additions 383</p> <p>A.5 Package Numeric_Std 389</p> <p>A.6 Package Numeric_Std_Additions 393</p> <p>A.7 Package Fixed_Float_Types 400</p> <p>A.8 Package Fixed_Pkg 401</p> <p>A.9 Package Float_Pkg 415</p> <p>A.10 Package TextIO 429</p> <p>A.11 Package Standard_Textio_Additions 431</p> <p>A.12 Package Std_Logic_Arith 432</p> <p>A.13 Package Math_Real 436</p> <p><b>Appendix B Syntax Reference 439</b></p> <p>B.1 Keywords 439</p> <p>B.2 Design Units 440</p> <p>B.3 Concurrent Statements 441</p> <p>B.4 Sequential Statements 443</p> <p>B.5 Expressions 444</p> <p>B.6 Declarations 445</p> <p>References 449</p> <p>Index 451</p>
<b>Andrew Rushton, TransEDA Ltd., Southampton, UK</b><br />Dr Rushton previously worked as an industrial hardware engineer at TransEDA Ltd., the leader in Verification Closure Measurement solutions for electronic designs. He now runs his own website design and programming consultancy company, www.andyrushton.co.uk.
<b>Making VHDL a simple and easy-to-use hardware description language</b> <p>Many engineers encountering VHDL (very high speed integrated circuits hardware description language) for the first time can feel overwhelmed by it. This book bridges the gap between the VHDL language and the hardware that results from logic synthesis with clear organisation, progressing from the basics of combinational logic, types, and operators; through special structures such as tristate buses, register banks and memories, to advanced themes such as developing your own packages, writing test benches and using the full range of synthesis types.</p> <p>This third edition has been substantially rewritten to include the new VHDL-2008 features that enable synthesis of fixed-point and floating-point hardware. Extensively updated throughout to reflect modern logic synthesis usage, it also contains a complete case study to demonstrate the updated features.</p> <p><b>New to this edition:</b></p> <ul> <li> <div>a common VHDL subset which will work across a range of different synthesis systems, targeting a very wide range of technologies</div> </li> <li> <div>a design style that results in long design lifetimes, maximum design reuse and easy technology retargeting</div> </li> <li> <div>a new chapter on a large scale design example based on a digital filter from design objective and design process, to testing strategy and test benches</div> </li> <li> <div>a chapter on writing test benches, with everything needed to implement a test-based design strategy</div> </li> <li> <div>extensive coverage of data path design, including integer, fixed-point and floating-point arithmetic, logic circuits, shifters, tristate buses, RAMs, ROMs, state machines, and decoders</div> </li> </ul> <p>Focused specifically on logic synthesis, this book is for professional hardware engineers using VHDL for logic synthesis, and digital systems designers new to VHDL but familiar with digital systems. It offers all the knowledge and tools needed to use VHDL for logic synthesis. Organised in themed chapters and with a comprehensive index, this complete reference will also benefit postgraduate students following courses on microelectronics or VLSI/ semiconductors and digital design.</p>

Diese Produkte könnten Sie auch interessieren:

Foundations of Electromagnetic Compatibility
Foundations of Electromagnetic Compatibility
von: Bogdan Adamczyk
PDF ebook
117,99 €
Human Bond Communication
Human Bond Communication
von: Sudhir Dixit, Ramjee Prasad
EPUB ebook
105,99 €
Computer Vision in Vehicle Technology
Computer Vision in Vehicle Technology
von: Antonio M. López, Atsushi Imiya, Tomas Pajdla, Jose M. Álvarez
PDF ebook
81,99 €