Details

Advanced Interconnects for ULSI Technology


Advanced Interconnects for ULSI Technology


2. Aufl.

von: Mikhail Baklanov, Paul S. Ho, Ehrenfried Zschech

159,99 €

Verlag: Wiley
Format: EPUB
Veröffentl.: 17.02.2012
ISBN/EAN: 9781119966869
Sprache: englisch
Anzahl Seiten: 608

DRM-geschütztes eBook, Sie benötigen z.B. Adobe Digital Editions und eine Adobe ID zum Lesen.

Beschreibungen

Finding new materials for copper/low-k interconnects is critical to the continuing development of computer chips. While copper/low-k interconnects have served well, allowing for the creation of Ultra Large Scale Integration (ULSI) devices which combine over a billion transistors onto a single chip, the increased resistance and RC-delay at the smaller scale has become a significant factor affecting chip performance. <p><i>Advanced Interconnects for ULSI Technology</i> is dedicated to the materials and methods which might be suitable replacements. It covers a broad range of topics, from physical principles to design, fabrication, characterization, and application of new materials for nano-interconnects, and discusses:</p> <ul> <li>Interconnect functions, characterisations, electrical properties and wiring requirements</li> <li>Low-k materials: fundamentals, advances and mechanical  properties</li> <li>Conductive layers and barriers</li> <li>Integration and reliability including mechanical reliability, electromigration and electrical breakdown</li> <li>New approaches including 3D, optical, wireless interchip, and carbon-based interconnects</li> </ul> <p>Intended for postgraduate students and researchers, in academia and industry, this book provides a critical overview of the enabling technology at the heart of the future development of computer chips.</p>
<b><i>About the Editors</i> xv</b> <p><b><i>List of Contributors</i> xvii</b></p> <p><b><i>Preface</i> xxi</b></p> <p><b><i>List of Abbreviations</i> xxv</b></p> <p><b>Section I Low-<i>k</i> Materials 1</b></p> <p><b>1 Low-<i>k</i> Materials: Recent Advances 3<br /> </b><i>Geraud Dubois and Willi Volksen</i></p> <p>1.1 Introduction 3</p> <p>1.2 Integration Challenges 5</p> <p>1.2.1 Process-Induced Damage 6</p> <p>1.2.2 Mechanical Properties 9</p> <p>1.3 Processing Approaches to Existing Integration Issues 10</p> <p>1.3.1 Post-deposition Treatments 11</p> <p>1.3.2 Prevention or Repair of Plasma-Induced Processing Damage 14</p> <p>1.3.3 Multilayer Structures 15</p> <p>1.4 Material Advances to Overcome Current Limitations 16</p> <p>1.4.1 Silica Zeolites 16</p> <p>1.4.2 Hybrid Organic–Inorganic: Oxycarbosilanes 19</p> <p>1.5 Conclusion 22</p> <p><b>2 Ultra-Low-<i>k</i> by CVD: Deposition and Curing 35<br /> </b><i>Vincent Jousseaume, Aziz Zenasni, Olivier Gourhant,</i> <i>Laurent Favennec and Mikhail R. Baklanov</i></p> <p>2.1 Introduction 35</p> <p>2.2 Porogen Approach by PECVD 37</p> <p>2.2.1 Precursors and Deposition Conditions 37</p> <p>2.2.2 Mystery Still Unsolved: From Porogens to Pores 41</p> <p>2.3 UV Curing 42</p> <p>2.3.1 General Overview of Curing 42</p> <p>2.3.2 UV Curing Mechanisms 43</p> <p>2.4 Impact of Curing on Structure and Physical Properties: Benefits of UV Curing 49</p> <p>2.4.1 Porosity 49</p> <p>2.4.2 Chemical Structure and Mechanical Properties 50</p> <p>2.4.3 Electrical Properties 56</p> <p>2.5 Limit/Issues with the Porogen Approach 57</p> <p>2.5.1 Porosity Creation Limit 58</p> <p>2.5.2 Porogen Residues 59</p> <p>2.6 Future of CVD Low-<i>k</i> 62</p> <p>2.6.1 New Matrix Precursor 62</p> <p>2.6.2 Other Deposition Strategies 64</p> <p>2.6.3 New Deposition Techniques 66</p> <p>2.7 Material Engineering: Adaptation to Integration Schemes 68</p> <p>2.8 Conclusion 70</p> <p><b>3 Plasma Processing of Low-<i>k</i> Dielectrics 79<br /> </b><i>Hualing Shi, Denis Shamiryan, Jean-Francois de Marneffe, Huai Huang, Paul S. Ho and Mikhail R. Baklanov</i></p> <p>3.1 Introduction 79</p> <p>3.2 Materials and Equipment 80</p> <p>3.3 Process Results Characterization 82</p> <p>3.4 Interaction of Low-<i>k</i> Dielectrics with Plasma 85</p> <p>3.4.1 Low-<i>k</i> Etch Chemistries 85</p> <p>3.4.2 Patterning Strategies and Masking Materials 87</p> <p>3.4.3 Etch Mechanisms 88</p> <p>3.5 Mechanisms of Plasma Damage 92</p> <p>3.5.1 Gap Structure Studies 93</p> <p>3.5.2 Effect of Radical Density 95</p> <p>3.5.3 Effect of Ion Energy 96</p> <p>3.5.4 Effect of Photon Energy and Intensity 99</p> <p>3.5.5 Plasma Damage by Oxidative Radicals 103</p> <p>3.5.6 Hydrogen-Based Plasma 105</p> <p>3.5.7 Minimization of Plasma Damage 108</p> <p>3.6 Dielectric Recovery 112</p> <p>3.6.1 CH4 Beam Treatment 112</p> <p>3.6.2 Dielectric Recovery by Silylation 113</p> <p>3.6.3 UV Radiation 119</p> <p>3.7 Conclusions 121</p> <p><b>4 Wet Clean Applications in Porous Low-<i>k</i> Patterning Processes 129<br /> </b><i>Quoc Toan Le, Guy Vereecke, Herbert Struyf, Els Kesters</i> <i>and Mikhail R. Baklanov</i></p> <p>4.1 Introduction 129</p> <p>4.2 Silica and Porous Hybrid Dielectric Materials 130</p> <p>4.3 Impact of Plasma and Subsequent Wet Clean Processes on the Stability of Porous Low-<i>k</i> Dielectrics 134</p> <p>4.3.1 Stability in Pure Chemical Solutions 134</p> <p>4.3.2 Stability in Commercial Chemistries 135</p> <p>4.3.3 Hydrophobicity of Hybrid Low-<i>k</i> Materials 138</p> <p>4.4 Removal of Post-Etch Residues and Copper Surface Cleaning 141</p> <p>4.5 Plasma Modification and Removal of Post-Etch 193 nm Photoresist 146</p> <p>4.5.1 Modification of 193 nm Photoresist by Plasma Etch 146</p> <p>4.5.2 Wet Removal of 193 nm Photoresist 153</p> <p><b>Section II Conductive Layers and Barriers 173</b></p> <p><b>5 Copper Electroplating for On-Chip Metallization 175<br /> </b><i>Valery M. Dubin</i></p> <p>5.1 Introduction 175</p> <p>5.2 Copper Electroplating Techniques 176</p> <p>5.3 Copper Electroplating Superfill 177</p> <p>5.3.1 The Role of Accelerator 177</p> <p>5.3.2 The Role of Suppressor 178</p> <p>5.3.3 The Role of Leveler 180</p> <p>5.4 Alternative Cu Plating Methods 182</p> <p>5.4.1 Electroless Plating 182</p> <p>5.4.2 Direct Plating 182</p> <p>5.5 Electroplated Cu Properties 184</p> <p>5.5.1 Resistivity 184</p> <p>5.5.2 Impurities 184</p> <p>5.5.3 Electromigration 185</p> <p>5.6 Conclusions 186</p> <p><b>6 Diffusion Barriers 193<br /> </b><i>Michael Hecker and René Hübner</i></p> <p>6.1 Introduction 193</p> <p>6.1.1 Cu Metallization, Barrier Requirements and Materials 193</p> <p>6.1.2 Barrier Deposition Techniques 195</p> <p>6.1.3 Characterization of Barrier Performance 196</p> <p>6.2 Metal-Based Barriers as Liners for Cu Seed Deposition 198</p> <p>6.2.1 Ta-Based Barriers 198</p> <p>6.2.2 W-Based Barriers 209</p> <p>6.2.3 Ti-Based Barriers 210</p> <p>6.2.4 Further Systems 211</p> <p>6.3 Advanced Barrier Approaches 212</p> <p>6.3.1 Barriers for Direct Cu Plating 212</p> <p>6.3.2 Metal Capping Layers 214</p> <p>6.3.3 Self-Forming Diffusion Barriers 216</p> <p>6.3.4 Self-Assembled Molecular Nanolayers and Polymer-Based Barriers 218</p> <p>6.4 Conclusions 221</p> <p><b>Section III Integration and Reliability 235</b></p> <p><b>7 Integration and Electrical Properties 237<br /> </b><i>Sridhar Balakrishnan, Ruth Brain and Larry Zhao</i></p> <p>7.1 Introduction 237</p> <p>7.2 On-Die Interconnects in the Submicrometer Era 237</p> <p>7.3 On-Die Interconnects at Sub-100 nm Nodes 240</p> <p>7.4 Integration of Low-<i>k</i> Dielectrics in Sub-65 nm Nodes 241</p> <p>7.4.1 Degradation of Dielectric Constant during Integration 243</p> <p>7.4.2 Integration Issues in ELK Dielectrics Due to Degraded Mechanical Properties 246</p> <p>7.5 Patterning Integration at Sub-65 nm Nodes 248</p> <p>7.5.1 Patterning Challenges 249</p> <p>7.6 Integration of Conductors in Sub-65 nm Nodes 252</p> <p>7.6.1 Narrow Line Copper Resistivity 253</p> <p>7.6.2 Integrating Novel Barrier/Liner Materials and Deposition Techniques for Cu Interconnects 254</p> <p>7.6.3 Self-Forming Barriers and Their Integration 256</p> <p>7.6.4 Integration to Enable Reliable Copper Interconnects 257</p> <p>7.7 Novel Air-Gap Interconnects 258</p> <p>7.7.1 Unlanded Via Integration with Air-Gap Interconnects 258</p> <p>7.7.2 Air-Gap Formation Using Nonconformal Dielectric Deposition 259</p> <p>7.7.3 Air-Gap Formation Using a Sacrificial Material 260</p> <p><b>8 Chemical Mechanical Planarization for Cu–Low-<i>k</i> Integration 267<br /> </b><i>Gautam Banerjee</i></p> <p>8.1 Introduction 267</p> <p>8.2 Back to Basics 268</p> <p>8.3 Mechanism of the CMP Process 268</p> <p>8.4 CMP Consumables 271</p> <p>8.4.1 Slurry 271</p> <p>8.4.2 Pad 273</p> <p>8.4.3 Pad Conditioner 274</p> <p>8.5 CMP Interactions 276</p> <p>8.6 Post-CMP Cleaning 281</p> <p>8.6.1 Other Defects 286</p> <p>8.6.2 Surface Finish 286</p> <p>8.6.3 E-Test 287</p> <p>8.7 Future Direction 287</p> <p>References 288</p> <p><b>9 Scaling and Microstructure Effects on Electromigration Reliability</b> <b>for Cu Interconnects 291<br /> </b><i>Chao-Kun Hu, René Hübner, Lijuan Zhang,</i> <i>Meike Hauschildt and Paul S. Ho</i></p> <p>9.1 Introduction 291</p> <p>9.2 Electromigration Fundamentals 293</p> <p>9.2.1 EM Mass Flow 293</p> <p>9.2.2 EM Lifetime and Scaling Rule 294</p> <p>9.2.3 Statistical Test Method 296</p> <p>9.2.4 Effect of Current Density on EM Lifetime 298</p> <p>9.3 Cu Microstructure 300</p> <p>9.3.1 X-ray Diffraction (XRD) 300</p> <p>9.3.2 Electron Backscatter Diffraction in the Scanning Electron Microscope 302</p> <p>9.3.3 Orientation Imaging Microscopy in the Transmission Electron Microscope 304</p> <p>9.4 Lifetime Enhancement 306</p> <p>9.4.1 Effect of a Ta Liner 306</p> <p>9.4.2 Upper-Level Dummy Vias 308</p> <p>9.4.3 Plasma Pre-clean and SiH4 Soak 310</p> <p>9.4.4 CVD and ECD Cu and the Effect of Nonmetallic Impurities 311</p> <p>9.4.5 Cu Alloys 314</p> <p>9.4.6 CoWP Cap Near-Bamboo and Polycrystalline Cu Lines 319</p> <p>9.5 Effect of Grain Size on EM Lifetime and Statistics 321</p> <p>9.6 Massive-Scale Statistical Study of EM 326</p> <p>9.7 Summary 329</p> <p><b>10 Mechanical Reliability of Low-<i>k</i> Dielectrics 339<br /> </b><i>Kris Vanstreels, Han Li and Joost J. Vlassak</i></p> <p>10.1 Introduction 339</p> <p>10.2 Mechanical Properties of Porous Low-<i>k</i> Materials 340</p> <p>10.2.1 Techniques to Measure Mechanical Properties of Thin Films 340</p> <p>10.2.2 Effect of Porosity on the Stiffness of Organosilicate Glass Films 342</p> <p>10.2.3 Hybrid Dielectrics Containing Organic/Inorganic Bridging Units 344</p> <p>10.2.4 Effect of UV Wavelength and Porogen Content on the Hardening Process of PECVD Low-<i>k</i> Dielectrics 349</p> <p>10.3 Fracture Properties of Porous Low-<i>k</i> Materials 352</p> <p>10.3.1 Adhesion Measurement Methods 352</p> <p>10.3.2 Fracture Toughness Measurement Techniques 354</p> <p>10.3.3 Effect of Porosity and Network Structure on the Fracture Toughness of Organosilicate Glass Films 355</p> <p>10.3.4 Effects of UV Cure on Fracture Properties of Carbon-Doped Oxides 357</p> <p>10.3.5 Water Diffusion and Fracture Properties of Organosilicate Glass Films 359</p> <p>10.4 Conclusion 361</p> <p><b>11 Electrical Breakdown in Advanced Interconnect Dielectrics 369<br /> </b><i>Ennis T. Ogawa and Oliver Aubel</i></p> <p>11.1 Introduction 369</p> <p>11.1.1 Dual-Damascene Integration of Low-<i>k</i> Dielectrics 370</p> <p>11.1.2 Low-<i>k</i> Types and Integrating Low-<i>k</i> Dielectrics 373</p> <p>11.2 Reliability Testing 378</p> <p>11.2.1 Measurement of Dielectric Degradation 378</p> <p>11.2.2 Reliability Analysis 390</p> <p>11.3 Lifetime Extrapolation and Models 397</p> <p>11.4 Future Trends and Concerns 403</p> <p><b>Section IV New Approaches 435</b></p> <p><b>12 3D Interconnect Technology 437<br /> </b><i>John U. Knickerbocker, Lay Wai Kong, Sven Niese,</i> <i>Alain Diebold and Ehrenfried Zschech</i></p> <p>12.1 Introduction 437</p> <p>12.2 Dimensional Interconnected Circuits (3DICs) for System Applications 438<br /> <i>John U. Knickerbocker</i></p> <p>12.2.1 Introduction 438</p> <p>12.2.2 System Needs 441</p> <p>12.2.3 3D Interconnect Design and Architecture 444</p> <p>12.2.4 3D Fabrication and Interconnect Technology 446</p> <p>12.2.5 Trade-offs in Application Design and Product Applications 464</p> <p>12.2.6 Summary 466</p> <p>12.3 Advanced Microscopy Techniques for 3D Interconnect Characterization 467<br /> <i>Lay Wai Kong, Sven Niese, Alain Diebold and Ehrenfried Zschech</i></p> <p>12.3.1 Scanning Acoustic Microscopy 467</p> <p>12.3.2 IR Microscopy 473</p> <p>12.3.3 Transmission X-ray Microscopy and Tomography 474</p> <p>12.3.4 Microstructure Analysis 480</p> <p>12.4 Summary 486</p> <p><b>13 Carbon Nanotubes for Interconnects 491<br /> </b><i>Mizuhisa Nihei, Motonobu Sato, Akio Kawabata, Shintaro Sato</i> <i>and Yuji Awano</i></p> <p>13.1 Introduction 491</p> <p>13.2 Advantage of CNT Vias 492</p> <p>13.3 Fabrication Processes of CNT Vias 493</p> <p>13.4 Electrical Properties of CNT Vias 496</p> <p>13.5 Current Reliability of CNT Vias 498</p> <p>13.6 Conclusion 501</p> <p><b>14 Optical Interconnects 503<br /> </b><i>Wim Bogaerts</i></p> <p>14.1 Introduction 503</p> <p>14.2 Optical Links 505</p> <p>14.2.1 Waveguides 507</p> <p>14.2.2 Waveguide Filters and (De)multiplexers 510</p> <p>14.2.3 Transmitter: Light Source 513</p> <p>14.2.4 Transmitter: Modulators 514</p> <p>14.2.5 Receiver: Photodetector 517</p> <p>14.2.6 Power Consumption and Heat Dissipation 517</p> <p>14.2.7 Different Materials 518</p> <p>14.2.8 Conclusion 519</p> <p>14.3 The Case for Silicon Photonics 519</p> <p>14.3.1 Waveguides and WDM Components 519</p> <p>14.3.2 Modulators, Tuners and Switches 523</p> <p>14.3.3 Photodetectors 526</p> <p>14.3.4 Light Sources 526</p> <p>14.3.5 Conclusion 527</p> <p>14.4 Optical Networks on a Chip 528</p> <p>14.4.1 WDM Point-to-Point Links 529</p> <p>14.4.2 Bus Architecture 529</p> <p>14.4.3 (Reconfigurable) Networks 530</p> <p>14.5 Integration Strategies 532</p> <p>14.5.1 Front-End-of-Line Integration 533</p> <p>14.5.2 Backside Integration 535</p> <p>14.5.3 Back-End-of-Line Integration 535</p> <p>14.5.4 3D Integration 536</p> <p>14.5.5 Flip-Chip Integration 537</p> <p>14.5.6 Conclusion 537</p> <p>14.6 Conclusion 538</p> <p><b>15 Wireless Interchip Interconnects 543<br /> </b><i>Takamaro Kikkawa</i></p> <p>15.1 Introduction 543</p> <p>15.2 Wireless Interconnect Technologies 547</p> <p>15.2.1 Figure of Merit for Wireless Interconnects 547</p> <p>15.2.2 Capacitively Coupled Wireless Interconnects 549</p> <p>15.2.3 Inductively Coupled Wireless Interconnects 550</p> <p>15.2.4 Antennas and Propagation 553</p> <p>15.3 Conclusion 561</p> <p>References 561</p> <p><b>Index</b></p>
<b>Mikhail R. Baklanov</b><br /><i>IMEC, Leuven, Belgium</i><br /><br /><b>Paul S. Ho</b><br /><i>Laboratory for Interconnect and Packaging, University of Texas at Austin, Austin, Texas, USA</i><br /><br /><b>Ehrenfried Zschech</b><br /><i>Fraunhofer Institute for Nondestructive Testing, Dresden, Germany</i>
Finding new materials for copper/low-k interconnects is critical to the continuing development of computer chips. While copper/low-k interconnects have served well, allowing for the creation of Ultra Large Scale Integration (ULSI) devices which combine over a billion transistors onto a single chip, the increased resistance and RC-delay at the smaller scale has become a significant factor affecting chip performance. <p><i>Advanced Interconnects for ULSI Technology</i> is dedicated to the materials and methods which might be suitable replacements. It covers a broad range of topics, from physical principles to design, fabrication, characterization, and application of new materials for nano-interconnects, and discusses:</p> <ul> <li>Interconnect functions, characterisations, electrical properties and wiring requirements</li> <li>Low-k materials: fundamentals, advances and mechanical  properties</li> <li>Conductive layers and barriers</li> <li>Integration and reliability including mechanical reliability, electromigration and electrical breakdown</li> <li>New approaches including 3D, optical, wireless interchip, and carbon-based interconnects</li> </ul> <p>Intended for postgraduate students and researchers, in academia and industry, this book provides a critical overview of the enabling technology at the heart of the future development of computer chips.</p>

Diese Produkte könnten Sie auch interessieren:

Hot-Melt Extrusion
Hot-Melt Extrusion
von: Dennis Douroumis
PDF ebook
136,99 €
Hot-Melt Extrusion
Hot-Melt Extrusion
von: Dennis Douroumis
EPUB ebook
136,99 €
Kunststoffe
Kunststoffe
von: Wilhelm Keim
PDF ebook
99,99 €