Details

Embedded and Fan-Out Wafer and Panel Level Packaging Technologies for Advanced Application Spaces


Embedded and Fan-Out Wafer and Panel Level Packaging Technologies for Advanced Application Spaces

High Performance Compute and System-in-Package
IEEE Press 1. Aufl.

von: Beth Keser, Steffen Kröhnert

118,99 €

Verlag: Wiley
Format: EPUB
Veröffentl.: 06.12.2021
ISBN/EAN: 9781119793892
Sprache: englisch
Anzahl Seiten: 320

DRM-geschütztes eBook, Sie benötigen z.B. Adobe Digital Editions und eine Adobe ID zum Lesen.

Beschreibungen

<p><b>Discover an up-to-date exploration of Embedded and Fan-Out Waver and Panel Level technologies</b> <p>In <i>Embedded and Fan-Out Wafer and Panel Level Packaging Technologies for Advanced Application Spaces: High Performance Compute and System-in-Package</i>, a team of accomplished semiconductor experts delivers an in-depth treatment of various fan-out and embedded die approaches. <p>The book begins with a market analysis of the latest technology trends in Fan-Out and Wafer Level Packaging before moving on to a cost analysis of these solutions. The contributors discuss the new package types for advanced application spaces being created by companies like TSMC, Deca Technologies, and ASE Group. Finally, emerging technologies from academia are explored. <p><i>Embedded and Fan-Out Wafer and Panel Level Packaging Technologies for Advanced Application Spaces</i> is an indispensable resource for microelectronic package engineers, managers, and decision makers working with OEMs and IDMs. It is also a must-read for professors and graduate students working in microelectronics packaging research.
<p>Preface xv</p> <p><b>1 Fan-Out Wafer and Panel Level Packaging Market and Technology Trends </b><b>1<br /></b><i>Santosh Kumar, Favier Shoo, and Stephane Elisabeth</i></p> <p>1.1 Introduction to Fan-Out Packaging 1</p> <p>1.1.1 Historical Perspective 1</p> <p>1.1.2 Key Drivers: Why Fan-Out Packaging? 6</p> <p>1.1.3 FO-WLP vs. FO-PLP 8</p> <p>1.1.4 Future of Fan-Out Packaging for Heterogeneous Integration 8</p> <p>1.2 Market Overview and Applications 10</p> <p>1.2.1 Fan-Out Packaging Definition 10</p> <p>1.2.2 Market Segmentation: Core FO vs. HD FO vs. UHD FO 11</p> <p>1.2.3 Market Valuation: Forecast of Revenue and Volume 12</p> <p>1.2.4 Current and Future Target Markets 12</p> <p>1.2.5 Applications of Fan-Out Packaging 14</p> <p>1.3 Technology Trends and Supply Chain 19</p> <p>1.3.1 Fan-Out Packaging Technology Roadmaps 19</p> <p>1.3.2 Fan-Out Packaging Technology by Manufacturer 19</p> <p>1.3.2.1 Amkor 19</p> <p>1.3.2.2 JCET 20</p> <p>1.3.2.3 NXP 21</p> <p>1.3.2.4 DECA Technologies 21</p> <p>1.3.2.5 ASE 22</p> <p>1.3.2.6 TSMC 22</p> <p>1.3.2.7 PTI 24</p> <p>1.3.2.8 Samsung Electronics 25</p> <p>1.3.2.9 Huatian 25</p> <p>1.3.3 Supply Chain Overview 25</p> <p>1.3.4 Analysis of the Latest Developments in the Supply Chain 26</p> <p>1.4 Fan-Out Panel-Level Packaging (FO-PLP) 29</p> <p>1.4.1 Motivation and Challenges for FO-PLP 29</p> <p>1.4.2 FO-PLP Market and Applications 30</p> <p>1.4.3 FO-PLP Supplier Overview 31</p> <p>1.5 SystemDevice Teardowns 34</p> <p>1.5.1 Teardown of End-Systems with Fan-Out Packaging 34</p> <p>1.5.2 Technology Comparison 38</p> <p>1.5.2.1 Radar IC: eWLB vs. RCP 38</p> <p>1.5.2.2 MCM/SiP: RCP-SiP vs. eWLB 39</p> <p>1.5.2.3 PMIC: eWLB vs. M-Series 40</p> <p>1.5.3 Cost Comparison 41</p> <p>1.6 Conclusion 42</p> <p>References 45</p> <p><b>2 Cost Comparison of FO-WLP with Other Technologies </b><b>47<br /></b><i>Amy Palesko Lujan</i></p> <p>2.1 Introduction 47</p> <p>2.2 Activity-Based Cost Modeling 47</p> <p>2.3 Cost Analysis of FO-WLP Variations 49</p> <p>2.3.1 Process Segment Costs 50</p> <p>2.3.1.1 Die Preparation 50</p> <p>2.3.1.2 Carrier 50</p> <p>2.3.1.3 Die Bond 51</p> <p>2.3.1.4 Mold 51</p> <p>2.3.1.5 Backgrinding 51</p> <p>2.3.1.6 RDL 51</p> <p>2.3.1.7 UBM 52</p> <p>2.3.1.8 Flux and Ball Attach 52</p> <p>2.3.1.9 Singulation 52</p> <p>2.3.2 FO-WLP Variations 52</p> <p>2.3.2.1 Carrier 54</p> <p>2.3.2.2 Die Cost and Preparation 54</p> <p>2.3.2.3 Die Bond 54</p> <p>2.3.2.4 Mold/Mold+CUF 54</p> <p>2.3.2.5 Backgrind/Post-mold Grind 54</p> <p>2.3.2.6 Scrap 55</p> <p>2.4 Cost of FO-WLP versus Wire Bond and Flip Chip 55</p> <p>2.5 Package-on-Package Cost Analysis 61</p> <p>2.5.1.1 Substrate/RDLs 63</p> <p>2.5.1.2 Die Bond 63</p> <p>2.5.1.3 CUF and Mold Cost 63</p> <p>2.5.1.4 Ball Attach 64</p> <p>2.5.1.5 Singulation 64</p> <p>2.5.1.6 TMV 64</p> <p>2.5.1.7 Die Bond 66</p> <p>2.5.1.8 CUF and Mold Cost 66</p> <p>2.5.1.9 TMV/Large Copper Pillars 66</p> <p>2.6 Conclusions 66</p> <p>References 67</p> <p><b>3 Integrated Fan-Out (InFO) for Mobile Computing </b><b>69<br /></b><i>Doug C.H. Yu, John Yeh, Kuo-Chung Yee, and Chih Hang Tung</i></p> <p>3.1 Introduction 69</p> <p>3.2 Fan-InWafer-Level Packaging 70</p> <p>3.2.1 Dielectric and Redistribution Layers (RDL) 71</p> <p>3.2.2 Under Bump Metallization (UBM) 71</p> <p>3.2.3 Reliability and Challenges 72</p> <p>3.2.4 Large Die WLP 72</p> <p>3.3 Fan-OutWafer-Level System Integration 73</p> <p>3.3.1 Chip-First vs. Chip-Last 74</p> <p>3.3.2 Molding and Planarization 75</p> <p>3.3.3 Redistribution Layer (RDL) 77</p> <p>3.3.4 Through Via and Vertical Interconnection 80</p> <p>3.4 Integrated Passive Devices (IPDs) 81</p> <p>3.4.1 High Q-Factor 3D Solenoid Inductor 81</p> <p>3.4.2 Antenna in Package (AiP) and 5G Communication 81</p> <p>3.4.3 Passive Devices for MillimeterWave System Integration 82</p> <p>3.5 Power, Performance, Form Factor, and Cost 85</p> <p>3.5.1 Signal and Power Integrity 87</p> <p>3.5.2 Heat Dissipation and Thermal Performance 88</p> <p>3.5.3 Form Factor and Thickness 91</p> <p>3.5.4 Cycle Time to Market and Cost 91</p> <p>3.6 Summary 91</p> <p>References 92</p> <p><b>4 Integrated Fan-Out (InFO) for High Performance Computing </b><b>95<br /></b><i>Doug C.H. Yu, John Yeh, Kuo-Chung Yee, and Chih Hang Tung</i></p> <p>4.1 Introduction 95</p> <p>4.2 3DFabric and System-on-Integrated-Chip (SoIC) 97</p> <p>4.3 CoWoS-R, CoWoS-S, and CoWoS-L 99</p> <p>4.4 InFO-L and InFO-R 100</p> <p>4.5 Info Ultra-High-Density Interconnect (InFO-UHD) 100</p> <p>4.6 Multi-Stack System Integration (MUST) and Must-in-Must (MiM) 106</p> <p>4.7 InFO on Substate (InFO-oS) and InFO Local Silicon Interconnect (InFO-L) 108</p> <p>4.8 InFO with Memory on Substrate (InFO-MS) 110</p> <p>4.9 InFO 3D Multi-Silicon (InFO-3DMS) and CoWoS-L 111</p> <p>4.10 InFO System onWafer (InFO_SoW) 112</p> <p>4.11 System on Integrated Substrate (SoIS) 116</p> <p>4.12 Immersion Memory Compute (ImMC) 116</p> <p>4.13 Summary 121</p> <p>References 122</p> <p><b>5 Adaptive Patterning and M-Series for High Density Integration </b><b>125<br /></b><i>Benedict San Jose, Cliff Sandstrom, Jan Kellar, Craig Bishop, and Tim Olson</i></p> <p>5.1 Technology Description 125</p> <p>5.2 Applications and Markets 127</p> <p>5.3 Basic Package Construction 127</p> <p>5.4 Manufacturing Process Flow and BOM 131</p> <p>5.5 Design Features and System Integration Capability 134</p> <p>5.6 Adaptive Patterning 137</p> <p>5.7 Manufacturing Format and Scalability 144</p> <p>5.8 Package Performance 149</p> <p>5.9 Robustness and Reliability Data 151</p> <p>5.10 Electrical Test Considerations 152</p> <p>5.11 Summary 153</p> <p>References 153</p> <p><b>6 Panel-Level Packaging for Heterogenous Integration </b><b>155<br /></b><i>M. Töpper, T. Braun, M. Billaud, and L. Stobbe</i></p> <p>6.1 Introduction 155</p> <p>6.2 Fan-Out Panel-Level Packaging 157</p> <p>6.3 Economic Efficiency Analysis of PLP 161</p> <p>6.4 Summary 165</p> <p>References 166</p> <p><b>7 Next Generation Chip Embedding Technology for High Efficiency Power Modules and Power SiPs </b><b>169<br /></b><i>Vikas Gupta, Kay Essig, C.T. Chiu, and Mark Gerber</i></p> <p>7.1 Technology Description 169</p> <p>7.2 Basic Package Construction 172</p> <p>7.3 Applications and Markets (HPC, SiP) 176</p> <p>7.4 Manufacturing Process Flow and BOM 177</p> <p>7.5 Design Features 180</p> <p>7.6 System Integration Capability 182</p> <p>7.7 Package Performance 183</p> <p>7.8 Robustness and Reliability Data 186</p> <p>7.9 Electrical Test Considerations 190</p> <p>7.10 Summary 191</p> <p>References 192</p> <p><b>8 Die Integration Technologies on Advanced Substrates Including Embedding and Cavities </b><b>193<br /></b><i>Markus Leitgeb and Christian Vockenberger</i></p> <p>8.1 Introduction 193</p> <p>8.2 Heterogeneous Integration by Use of Embedded Chip Packaging (ECP<sup>®</sup>) 194</p> <p>8.3 Embedding Process 196</p> <p>8.4 Component Selection 198</p> <p>8.5 Design Technology 199</p> <p>8.6 Testing 200</p> <p>8.7 Applications for ECP Technology 201</p> <p>8.8 Heterogeneous Integration Using Cavities in PCB 206</p> <p>8.9 Package Performance, Robustness, and Reliability 208</p> <p>8.10 Conclusion 215</p> <p>References 215</p> <p><b>9 Advanced Embedded Trace Substrate – A Flexible Alternative to Fan-Out Wafer Level Packaging </b><b>217<br /></b><i>Shih Ping Hsu, Byron Hsu, and Adan Chou</i></p> <p>9.1 Technology Description 217</p> <p>9.1.1 C<sup>2</sup>iM Technology 217</p> <p>9.1.2 C<sup>2</sup>iM-PLP Technology 218</p> <p>9.2 Applications and Markets 219</p> <p>9.3 Basic Package Construction 219</p> <p>9.3.1 C<sup>2</sup>iM-PLP Experience 219</p> <p>9.3.2 C<sup>2</sup>iM-PLP Advantages and Disadvantages Compared to Wirebond Quad Flat No Lead (WB-QFN) and Flip-Chip QFN (FC-QFN) Packages 219</p> <p>9.3.3 C<sup>2</sup>iM-PLP Advantages and Disadvantages Compared to WLP and FO-WLP 220</p> <p>9.3.4 Future Applications 222</p> <p>9.3.5 Limitations of C<sup>2</sup>iM-PLP 222</p> <p>9.4 Manufacturing Process Flow and BOM 223</p> <p>9.5 Design Features 224</p> <p>9.5.1 Package Design Rules 224</p> <p>9.5.2 Design Rules for Die UBM 224</p> <p>9.5.3 Design Rules for Die Side by Side 225</p> <p>9.5.4 Design Rules for Cu Pillar 226</p> <p>9.6 System Integration Capability 227</p> <p>9.7 Manufacturing Format and Scalability 228</p> <p>9.8 Package Performance 228</p> <p>9.8.1 Electrical Performance 228</p> <p>9.8.2 Thermal Performance 229</p> <p>9.9 Robustness and Reliability Data 229</p> <p>9.9.1 Automotive Reliability Certification Pass 229</p> <p>9.9.2 Board Level Reliability Verification Pass 230</p> <p>9.10 Electrical Test Considerations 230</p> <p>9.11 Summary 231</p> <p>References 231</p> <p><b>10 Flexible Hybrid Electronics Using Fan-Out Wafer-Level Packaging </b><b>233<br /></b><i>Subramanian S. Iyer and Arsalan Alam</i></p> <p>10.1 Introduction 233</p> <p>10.2 Recent Trends in Packaging 239</p> <p>10.3 FHE Using FO-WLP – FlexTrate<sup>TM</sup> 242</p> <p>10.4 Applications on FlexTrate<sup>TM</sup> 250</p> <p>Acknowledgments 256</p> <p>References 256</p> <p><b>11 Polylithic Integrated Circuits using 2.5D and 3D Heterogeneous Integration: Electrical and Thermal Design Considerations and Demonstrations </b><b>261<br /></b><i>Ting Zheng, Ankit Kaul, Sreejith Kochupurackal Rajan, and Muhannad S. Bakir</i></p> <p>11.1 Introduction 261</p> <p>11.2 Heterogeneous Interconnect Stitching Technology (HIST) 262</p> <p>11.3 Thermal Evaluation of 2.5D Integration Using Bridge-Chip Technology 270</p> <p>11.3.1 2.5D and 3D Benchmark Architectures 270</p> <p>11.3.1.1 2.5D Integration 270</p> <p>11.3.1.2 3D Integration 271</p> <p>11.3.2 Thermal Modeling and Specifications 272</p> <p>11.3.3 Comparison of Different 2.5D Integration Schemes 273</p> <p>11.3.4 Thermal Comparison between 2.5D and 3D Integration 273</p> <p>11.3.5 Thermal Study of Bridge-Chip 2.5D Integration 274</p> <p>11.3.5.1 Impact of TIM conductivity 274</p> <p>11.3.5.2 Die Thickness 275</p> <p>11.3.5.3 Die Spacing 275</p> <p>11.3.6 Polylithic 3D Integration 275</p> <p>11.4 Monolithic Microfluidic Cooling of High-Power Electronics 276</p> <p>11.4.1 Experimental Demonstration and Characterization on Single Die Systems 277</p> <p>11.4.2 Microfluidic Cooling of 2.5D Devices: Experimental Demonstration 279</p> <p>11.4.3 Monolithic Microfluidic Cooling of 3D Integration: Modelling Electrical Implications for I/Os 281</p> <p>11.5 Conclusion 283</p> <p>Acknowledgments 283</p> <p>References 283</p> <p>Index 289</p>
<p><b>Beth Keser, PhD, </b>is an IEEE Fellow and Distinguished Lecturer with over 23 years’ experience in the semiconductor industry and a co-Editor of <i>Advances in Embedded and Fan-Out Wafer Level Packaging Technologies</i>. Beth’s excellence in developing revolutionary electronic packages for semiconductor devices has resulted in 30 patents and patents pending and over 50 publications in the semiconductor industry. <p><b>Steffen Kröhnert </b>is President & Founder of ESPAT-Consulting in Dresden, Germany. He is member of IEE EPS and co-Editor of <i>Advances in Embedded and Fan-Out Wafer Level Packaging Technologies</i>. Steffen has over 20 years’ experience in the semiconductor industry and is the author or co-author of 23 patent filings.<b> </b>
<p><B>Discover an up-to-date exploration of Embedded and Fan-Out Waver and Panel Level technologies</b></p> <p>In<i> Embedded and Fan-Out Wafer and Panel Level Packaging Technologies for Advanced Application Spaces: High Performance Compute and System-in-Package,</i> a team of accomplished semiconductor experts delivers an in-depth treatment of various fan-out and embedded die approaches. <p>The book begins with a market analysis of the latest technology trends in Fan-Out and Wafer Level Packaging before moving on to a cost analysis of these solutions. The contributors discuss the new package types for advanced application spaces being created by companies like TSMC, Deca Technologies, and ASE Group. Finally, emerging technologies from academia are explored. <p><i>Embedded and Fan-Out Wafer and Panel Level Packaging Technologies for Advanced Application Spaces</i> is an indispensable resource for microelectronic package engineers, managers, and decision makers working with OEMs and IDMs. It is also a must-read for professors and graduate students working in microelectronics packaging research.

Diese Produkte könnten Sie auch interessieren:

Bandwidth Efficient Coding
Bandwidth Efficient Coding
von: John B. Anderson
PDF ebook
114,99 €
Bandwidth Efficient Coding
Bandwidth Efficient Coding
von: John B. Anderson
EPUB ebook
114,99 €